Sound Bakery  v0.1.0
Open-source audio middleware for games
Loading...
Searching...
No Matches
SB::Engine::RandomContainer Member List

This is the complete list of members for SB::Engine::RandomContainer, including all inherited members.

addChild(const SB::Core::DatabasePtr< NodeBase > &child) (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
addEffect(sc_dsp_type type) (defined in SB::Engine::Node)SB::Engine::Node
canAddChild(const SB::Core::DatabasePtr< NodeBase > &child) const override (defined in SB::Engine::Container)SB::Engine::Containerinlinevirtual
Container() (defined in SB::Engine::Container)SB::Engine::Containerinline
gatherAllDescendants(std::vector< NodeBase * > &descendants) const (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
gatherAllParents(std::vector< NodeBase * > &parents) const (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
gatherChildrenForPlay(GatherChildrenContext &context) const overrideSB::Engine::RandomContainerinlinevirtual
gatherParameters(GlobalParameterList &parameters)SB::Engine::Nodevirtual
gatherParametersFromThis(GlobalParameterList &parameters)SB::Engine::Nodeinlineprotectedvirtual
getChef() const (defined in SB::Core::ObjectUtilities)SB::Core::ObjectUtilities
getChildCount() const (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
getChildren() const (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
getDatabaseID() const (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObject
getDatabaseName() const (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObject
getEditorHidden() const (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObjectinline
getMini() const (defined in SB::Core::ObjectUtilities)SB::Core::ObjectUtilities
getNodeStatus() const noexcept (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
getSystem() const (defined in SB::Core::ObjectUtilities)SB::Core::ObjectUtilities
getType() const (defined in SB::Core::Object)SB::Core::Objectinline
hasChild(const SB::Core::DatabasePtr< NodeBase > &test) const (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
m_childNodes (defined in SB::Engine::NodeBase)SB::Engine::NodeBaseprotected
m_debugName (defined in SB::Core::ObjectUtilities)SB::Core::ObjectUtilities
m_effectDescriptions (defined in SB::Engine::Node)SB::Engine::Node
m_highpass (defined in SB::Engine::Node)SB::Engine::Node
m_lowpass (defined in SB::Engine::Node)SB::Engine::Node
m_outputBus (defined in SB::Engine::NodeBase)SB::Engine::NodeBaseprotected
m_parentNode (defined in SB::Engine::NodeBase)SB::Engine::NodeBaseprotected
m_pitch (defined in SB::Engine::Node)SB::Engine::Node
m_volume (defined in SB::Engine::Node)SB::Engine::Node
Object()=default (defined in SB::Core::Object)SB::Core::Object
onDestroy() (defined in SB::Core::DatabaseObjectUtilities)SB::Core::DatabaseObjectUtilitiesinlinevirtual
onLoaded() override (defined in SB::Engine::Container)SB::Engine::Containervirtual
onProjectLoaded() (defined in SB::Core::DatabaseObjectUtilities)SB::Core::DatabaseObjectUtilitiesinlinevirtual
operator SB_ID() const (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObjectinline
outputBus() const (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
parent() const (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
removeChild(const SB::Core::DatabasePtr< NodeBase > &child) (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
setDatabaseID(SB_ID id) (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObject
setDatabaseName(std::string_view name) (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObject
setEditorHidden(bool hidden) (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObjectinline
setOutputBus(const SB::Core::DatabasePtr< NodeBase > &bus) (defined in SB::Engine::NodeBase)SB::Engine::NodeBasevirtual
setParentNode(const SB::Core::DatabasePtr< NodeBase > &parent) (defined in SB::Engine::NodeBase)SB::Engine::NodeBasevirtual
tryConvertObject() noexceptSB::Core::Objectinline
tryConvertObject() const noexcept (defined in SB::Core::Object)SB::Core::Objectinline
~DatabaseObject() (defined in SB::Core::DatabaseObject)SB::Core::DatabaseObject
~NodeBase() (defined in SB::Engine::NodeBase)SB::Engine::NodeBase
~Object() (defined in SB::Core::Object)SB::Core::Objectvirtual